Dinda Halla

  • Subscribe to our RSS feed.
  • Twitter
  • StumbleUpon
  • Reddit
  • Facebook
  • Digg

Friday, 24 December 2010

seven segment display

Posted on 00:14 by Unknown

Nama : Dinda Putri Ramadian

NPM : 20108604

kelas : 3 kb 05

Seven segment merupakan LED yang disusun membentuk angka 8. Disebut seven segment karena memiliki 7 bagian yaitu a-g. Kombinasi dari 7 bagian ini dapat membentuk angka 0-9. Namun dalam sebuah seven segment biasanya ditambahkan 1 LED lagi yang berfungsi sebagai tanda (.) /titik sehingga dalam sebuah seven segment terdapat 8 LED.

Seven segment biasanya digunakan untuk menampilkan angka pada jam digital, digital multimeter, dan peralatan elektronik lainnya yang menampilkan angka.

contoh program 7'segment :

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity test is
port (
clk : in std_logic;
bcd : in std_logic_vector(3 downto 0);
segment7 : out std_logic_vector(6 downto 0)
);
end test;

architecture Behavioral of test is

begin
process (clk,bcd)
BEGIN
if (clk'event and clk='1') then
case bcd is
when "0000"=> segment7 <="0000001"; -- '0' when "0001"=> segment7 <="1001111"; -- '1' when "0010"=> segment7 <="0010010"; -- '2' when "0011"=> segment7 <="0000110"; -- '3' when "0100"=> segment7 <="1001100"; -- '4' when "0101"=> segment7 <="0100100"; -- '5' when "0110"=> segment7 <="0100000"; -- '6' when "0111"=> segment7 <="0001111"; -- '7' when "1000"=> segment7 <="0000000"; -- '8' when "1001"=> segment7 <="0000100"; -- '9' when others=> segment7 <="1111111"; -- 'mati semuanya' end case; end if; end process; end Behavioral;

Logika Program:



Pada program diatas menggunakan jenis 7'segment yang berjenis common anoda dimana hasil yang akan menyala pada 7'segment yang berlogika '0', dan jika clk'event and clk = '1' maka list program akan mengeksekusi satu per satu yang dimana akan menghaislkan angka 0 - 9 atau biasa disebut "UP COUNTER" jika sudah di jalankan semua maka hasil akhirnya pada 7'segment akan pada / mati.


Email ThisBlogThis!Share to XShare to FacebookShare to Pinterest
Posted in | No comments
Newer Post Older Post Home

0 comments:

Post a Comment

Subscribe to: Post Comments (Atom)

Popular Posts

  • AIDS
    AIDS atau Acquired Immune Deficiency Syndrome adalah suatu sindrom “serbuan” penyakit-penyakit terhadap tubuh akibat menurunnya sistem kek...
  • Tugas 1&2 Bahasa Inggris bisnis 2
    1.A1. Agreement subject-verb Top of Form Bottom of Form I got a hold of some bad pork chops the other day, and they didn't agree...
  • teknik evaluasi pada sistem interaktif
    Teknik Evaluasi pada sistem interaktif adalah teknik yang digunakan untuk melihat apakah hasil rancangan dengan proses uji coba sistem yang ...
  • tulisan 6 bahasa inggris bisnis 2
    A child really wants to continue school, but parents do not have the money to finance their schools. Besides his mother who is sick will cos...
  • tulisan 4 bahasa inggris bisnis 2 (father birthday..)
    This picture was taken at my dad 's birthday celebration , the atmosphere there at the time it was very touching , my father...
  • User Interface Management System (UIMS)
    User Interface Management System (UIMS) adalah sebuah mekanisme untuk proses memisahkan bersih atau logika bisnis dari antarmuka pengguna g...
  • Teka-teki Soal Donat
    Soal : kue apa yang lubang di tengahnya ? Jawaban : kue donat. Soal : mas apa yang lubang di tengah nya ? Jawaban : masih donat goblok...
  • Perawatan Terbaik Bagi Kulit Kering
    1. Jangan mandi terlalu lama, apalagi menggunakan shower (pancuran). 2. Jika harus men...
  • tugas bahasa inggris bisnis 2
    1.    Theory and example of active-passive sentences      Active Sentences An active sentence is the opposite of a passive sentence.  In an ...
  • model-model sistem interaksi
    Model merupakan suatu pola dari sesuatu yang akan dibuat atau dihasilkan. Simarmata (1983:9) mendefinisikan model sebagai abstraksi dari rea...

Blog Archive

  • ►  2012 (11)
    • ►  May (9)
    • ►  March (2)
  • ►  2011 (21)
    • ►  December (5)
    • ►  October (1)
    • ►  May (11)
    • ►  April (1)
    • ►  March (1)
    • ►  February (2)
  • ▼  2010 (101)
    • ▼  December (13)
      • tulisan bahasa indonesia 9
      • TULISAN BAHASA INDONESIA 8
      • tulisan bahasa indonesia 7
      • tulisan bahasa indonesia 6
      • tulisan bahasa indonesia 5
      • tulisan bahasa indonesia 4
      • tulisan bahasa indonesia 3
      • tulisan bahasa indonesia 2
      • seven segment display
      • tugas bahasa indonesia 3
      • tugas bahasa indonesia 2
      • tulisan bahasa indonesia 1
      • Tugas Bh.Indonesia 1
    • ►  October (2)
    • ►  June (59)
    • ►  May (20)
    • ►  April (4)
    • ►  March (3)
  • ►  2008 (1)
    • ►  November (1)
Powered by Blogger.

About Me

Unknown
View my complete profile